FPGA & MicroProcessor



مجموعه داده فارسی برای طبقه بندی متون

# persian-dataset
This data set is collected by crawling the Young Journalist Club website(https://www.yjc.ir/) . This dataset has the following 9 categories:

1. Artistic culture
2. Communication
3. Thecnology
4. Economical
5. International(Political)
6. Political
7. Scientific and Medical
8. Social
9. Sport 

The data for each category is stored in files corresponding to the category name. Each line of the file contains the information of a news item such as text, category, date , . . The format of each news as following:


{
  "link": "/fa/news/6819277/آشنایی-با-مرتضی-علی--شهید-حادثه-تروریستی-امروز-در-سیستان-و-بلوچستان\n",
  "title": "آشنایی با مرتضی علی شهید حادثه تروریستی امروز در سیستان و بلوچستان",
  "code_news": "کد خبر: ۶۸۱۹۲۷۷",
  "category": "ی",
  "date": "تاریخ انتشار: ۱۳ بهمن ۱۳۹۷ - ۱۶:۴۴",
  "text": " به گزارش حوزه امنیتی دفاعی گروه ی باشگاه خبرنگاران جوان به نقل از عصر هامون، شهید مرتضی علی در تیرماه ۱۳۹۵ به عنوان مسئول سازمان فضای مجازی بسیج شهرستان نیکشهر معرفی شد و مدت ٦ ماه با قرارگاه فضای مجازی بسیج استان سیستان و بلوچستان همکاری داشت. او در سال ۹۶ مسئولیت شباب این ناحیه را بر عهده گرفت و پس از آن به مجموعه فاوا پیوست. گفتنی است، این شهید بزرگوار متولد ۱۳۷۲ در زاهدان بود."
}

Download link: https://www.dropbox.com/s/084prex1jfu5u1n/yjc_news.tar.gz?dl=0

لینک github

#persian text classification 

 


 


Zerynth یک پلتفرم برای توسعه‌ی سیستم های نهفته در زبان پایتون(Python) را فراهم می‌کند. مزایای برنامه نویسی با Zerynth عبارت‌اند از:

  • قابلیت برنامه نویسی در زبان پایتون یا ترکیب C/Python با یک سیستم عامل بلادرنگ را فراهم می‌کند. که این سیستم عامل برای اجرا نیاز به 80K حافظه flash و 5K حافظه RAM نیاز دارد.
  • روند توسعه بسیار انعظاف پذیر بوده و زمان توسعه را بسیار کاهش می‌دهد.
  • برنامه‌ی موبایل و Device Manager برای کنترل از طریق مویایل و ارتباط با Cloud را فراهم می‌کند. 
روند طراحی توسط Zerynth در شکل زیر قابل مشاهده است:

تا کنون برنامه نویسی بر روی 20 برد توسط این پلتفرم فراهم شده است. چند نمونه از این برد ها عبارت اند از:

برای اطلاعات بیشتر می‌توانید به لینک های زیر مراجعه کنید.

Zerynth

https://docs.zerynth.com/latest/


MyHDL یک پکیج پیاده سازی شده به زبان پایتون(python) است که توانایی توصیف سخت افزار را به زبان پایتون اضافه می کند. سخت افزار طراحی شده با استفاده از MyHDL می تواند به صورت خودکار به زبان های Verilog یا VHDL تبدیل شود. کدهای Verilog یا VHDL حاصل Synthesizable بوده به این معنی که قابل پیاده سازی (به عنوان مثال بر روی FPGA) هستند. این کتابخانه به صورت open source بوده و کدهای آن در اینجا موجود است.

منابع:

http://www.myhdl.org/

https://en.wikipedia.org/wiki/MyHDL


https://t.me/langroud


تبلیغات

محل تبلیغات شما
محل تبلیغات شما محل تبلیغات شما

آخرین وبلاگ ها

آخرین جستجو ها

مینو رایانه وبلاگ شخصی عماد سلیمانی خشوئی emohtava دبستان سوده اقیانوس سیاه گیتی کامپیوتر ثبت شرکت در مشهد،ثبت و اخذ رتبه پیمانکاری و ثبت برند در مشهد نقش هنر